Informatics Report Series


Report   

EDI-INF-RR-1284


Related Pages

Report (by Number) Index
Report (by Date) Index
Author Index
Institute Index

Home
Title:Using Predictive Modeling for Cross-Program Design Space Exploration in Multicore Systems
Authors: Salman Khan ; Polychronis Xekalakis ; John Cavazos ; Marcelo Cintra
Date:Sep 2007
Publication Title:Intl. Conf. on Parallel Architectures and Compilation Techniques (PACT)
Publisher:IEEE
Publication Type:Conference Paper Publication Status:Published
Page Nos:327-338
DOI:10.1109/PACT.2007.4336223 ISBN/ISSN:978-0-7695-2944-8
Abstract:
The vast number of transistors available through modern fabrication technology gives architects an unprecedented amount of freedom in chip-multiprocessor (CMP) designs. However, such freedom translates into a design space that is impossible to fully, or even partially to any significant fraction, explore through detailed simulation. In this paper we propose to address this problem using predictive modeling, a well-known machine learning technique. More specifically we build models that, given only a minute fraction of the design space, are able to accurately predict the behavior of the remaining designs orders of magnitude faster than simulating them. In contrast to previous work, our models can predict performance metrics not only for unseen CMP configurations for a given application, but also for unseen configurations of a new application that was not in the set of applications used to build the model, given only a very small number of results for this new application. We perform extensive experiments to show the efficacy of the technique for exploring the design space of CMP s running parallel applications. The technique is used to predict both energy-delay and execution time. Choosing both explicitly parallel applications and applications that are parallelized using the thread-level speculation (TLS) approach, we evaluate performance on a CMP design space with about 95 million points using 18 benchmarks with up to 1000 training points each. For predicting the energy-delay metric, prediction errors for unseen configurations of the same application range from 2.4% to 4.6% and for configurations of new applications from 3.1% to 4.9%.
Copyright:
2007 by IEEE. All Rights Reserved.
Links To Paper
1st Link
Bibtex format
@InProceedings{EDI-INF-RR-1284,
author = { Salman Khan and Polychronis Xekalakis and John Cavazos and Marcelo Cintra },
title = {Using Predictive Modeling for Cross-Program Design Space Exploration in Multicore Systems},
book title = {Intl. Conf. on Parallel Architectures and Compilation Techniques (PACT)},
publisher = {IEEE},
year = 2007,
month = {Sep},
pages = {327-338},
doi = {10.1109/PACT.2007.4336223},
url = {http://homepages.inf.ed.ac.uk/mc/Publications/pact07.pdf},
}


Home : Publications : Report 

Please mail <reports@inf.ed.ac.uk> with any changes or corrections.
Unless explicitly stated otherwise, all material is copyright The University of Edinburgh